EDA(Electronic Design Automation,电子设计自动化)软件用于芯片(IC)、PCB(电路板)和系统设计,涵盖 设计、仿真、验证、制造 等环节。以下是不同领域的 主流EDA工具 及其用途。
1. 数字芯片设计(Digital IC Design)
(1) RTL 综合(Synthesis)
工具  | 公司  | 用途  | 
Genus  | Cadence  | RTL 综合,生成门级网表  | 
Design Compiler (DC)  | Synopsys  | 逻辑综合,优化时序/面积/功耗  | 
Fusion Compiler  | Synopsys  | RTL-to-GDSII 全流程优化  | 
(2) 布局布线(Place & Route, PnR)
工具  | 公司  | 用途  | 
Innovus  | Cadence  | 数字芯片物理实现(7nm/5nm先进工艺)  | 
IC Compiler II (ICC2)  | Synopsys  | 布局布线,时序优化  | 
Fusion Compiler  | Synopsys  | 结合综合与布局布线的一体化工具  | 
(3) 静态时序分析(STA, Static Timing Analysis)
工具  | 公司  | 用途  | 
Tempus  | Cadence  | 时序签核(Signoff)  | 
PrimeTime  | Synopsys  | 业界标准STA工具  | 
STAR RC  | Synopsys  | 寄生参数提取(用于STA)  | 
2. 模拟/混合信号设计(Analog/Mixed-Signal IC Design)
工具  | 公司  | 用途  | 
Virtuoso  | Cadence  | 模拟电路设计(原理图+版图)  | 
Spectre  | Cadence  | 模拟电路仿真(SPICE仿真)  | 
HSPICE  | Synopsys  | 高精度SPICE仿真  | 
ADE Explorer  | Cadence  | 模拟设计环境(仿真管理)  | 
AWR Microwave Office  | Cadence  | 射频(RF)IC设计  | 
3. 物理验证与制造(Physical Verification & Manufacturing)
工具  | 公司  | 用途  | 
Pegasus  | Cadence  | 物理验证(DRC/LVS)  | 
Calibre  | Siemens EDA  | 行业标准DRC/LVS检查  | 
Quantus  | Cadence  | 寄生参数提取(RC Extraction)  | 
IC Validator  | Synopsys  | 物理验证(替代Calibre)  | 
4. 硬件仿真与验证(Verification & Emulation)
工具  | 公司  | 用途  | 
VCS  | Synopsys  | 数字仿真(RTL/门级仿真)  | 
Xcelium  | Cadence  | 高速仿真(支持SystemVerilog/UVM)  | 
QuestaSim  | Siemens EDA  | 功能验证(替代ModelSim)  | 
Palladium  | Cadence  | 硬件加速仿真  | 
ZeBu  | Synopsys  | 硬件仿真(FPGA原型验证)  | 
5. PCB 设计(Printed Circuit Board Design)
工具  | 公司  | 用途  | 
Allegro  | Cadence  | 高端PCB设计(高速/多层板)  | 
Altium Designer  | Altium  | 中端PCB设计(消费电子)  | 
KiCad  | 开源  | 免费PCB设计工具  | 
PADS  | Siemens EDA  | 中小型PCB设计  | 
OrCAD  | Cadence  | 原理图+PCB设计(中低端)  | 
6. FPGA 设计(FPGA Development)
工具  | 公司  | 用途  | 
Vivado  | Xilinx (AMD)  | Xilinx FPGA开发  | 
Quartus Prime  | Intel (Altera)  | Intel FPGA开发  | 
Libero  | Microchip  | Microsemi FPGA开发  | 
7. 系统级设计与AI工具(System-Level & AI-Based EDA)
工具  | 公司  | 用途  | 
Stratus HLS  | Cadence  | 高层次综合(HLS,C++ → RTL)  | 
Synopsys DSO.ai  | Synopsys  | AI驱动的芯片布局优化  | 
Cadence Cerebrus  | Cadence  | 机器学习优化芯片设计  | 
总结
- 数字IC设计:Genus (Cadence)、Design Compiler (Synopsys)、Innovus (Cadence)
 - 模拟IC设计:Virtuoso (Cadence)、Spectre (Cadence)
 - 物理验证:Calibre (Siemens)、Pegasus (Cadence)
 - PCB设计:Allegro (Cadence)、Altium Designer
 - FPGA开发:Vivado (Xilinx)、Quartus (Intel)
 - AI/ML优化:DSO.ai (Synopsys)、Cerebrus (Cadence)
 
不同公司(Cadence、Synopsys、Siemens EDA)的工具链各有优势,工程师通常根据 工艺节点、项目需求、公司授权 选择合适的EDA工具。










